Показаны сообщения с ярлыком MBCFETTM. Показать все сообщения
Показаны сообщения с ярлыком MBCFETTM. Показать все сообщения

среда, 23 мая 2018 г.

Samsung рассказал о планах начать выпуск 3-нм чипов в 2021-м году



В мае 2016 года корпорация Samsung Electronics выделила из своего подразделения по выпуску полупроводников группу для контрактного производства чипов, что потребовало новых подходов для привлечения клиентов, как, например, это делает тайваньская TSMC, которая регулярно и в красках расписывает, как она начнёт выпускать 5-нм и даже 3-нм чипы.
В Samsung не остались в долгу, и также заяили, что "не лыком шиты", представив новинки своих технологий в Санта-Кларе, на домашней конференции Samsung Foundry Forum (SFF) 2018 USA. Представители южнокорейского техногиганта рассказали о будущих техпроцессах с нормами 7 нм, 5 нм, 4 нм и 3 нм. Людям необходимы всё более компактные и мощные мобильные решения с постоянным подключением к Сети. Поэтому в Samsung прекрасно осознают важность непрерывного внедрения новейших техпроцессов.
Так, например, 7LPP (7nm Low Power Plus) будет готов к выпуску решений во 2-м полугодии 2018 года. Правда, полный комплект IP-блоков в виде готовых для применения разработчикамитаких решений будет подготовлен в 1-й половине 2019-го. Это отсрочит появление массовых 7-нм чипов, но не отпугнёт тех, кто готов самостоятельно разрабатывать схемотехнику для скорейшего выпуска 7-нм продукции. Например, компания Qualcomm готова выпускать 5G-чипы с использованием техпроцесса Samsung 7LPP. Это будет первый в индустрии техпроцесс, который станет частично использовать сканеры диапазона EUV.
Следующим техпроцессом, который Samsung намерен внедрить в производство, станет техпроцесс 5LPE (5nm Low Power Early). Отметим, для техпроцесса с нормами 7 нм нет «раннего» варианта типа 7LPE (Early). В корпорации сразу решили переходить к частичному использованию сканеров EUV. Поэтому техпроцесс 5LPE станет чем-то вроде развитой версии техпроцесса 7LPP, что позволит уменьшить площадь кристаллов и увеличить энергоэффективность решений. Всё это ожидается уже в следующем году, когда TSMC будет только начинать использовать сканеры EUV для второго поколения своего 7-нм техпроцесса. Так что у Samsung имеется очень агрессивный план по внедрению новых техпроцессов.
В 2020 году, уже на основе внедрённого в производство техпроцесса 5LPE и с учётом всех выявленных проблем, Samsung планирует внедрить в производство техпроцессы 4LPE/LPP (4nm Low Power Early/Plus). При этом решения с нормами 4 нм сохранят структуру вертикальных транзисторов FinFET, хотя ранее корпорация на этом этапе планировала перейти на кольцевые затворы. Очевидно, было принято решение не экспериментировать, а внедрять то, что пока ещё может работать. Техпроцесс 5LPP отсутствует в планах, а заменить его, по-видимому, решено 4-нм техпроцессом.
Техпроцесс с нормами 3 нм и кольцевыми затворами Gate-All-Around в виде версий 3GAAE/GAAP (3nm Gate-All-Around Early/Plus) вендор собирается запустить в 2021 году. Кольцевые затворы будут окружать транзисторные каналы со всех сторон, что позволит удержать рабочие токи на заданном уровне, несмотря на сильно измельчавшие каналы и площади затворов.
Samsung выбрал в качестве затворов наностраницы, а не нанопровода. Проще говоря, кольцевые затворы в разрезе будут выглядеть как прямоугольники со скруглёнными краями. Подробные и ожидаемые характеристики транзисторов для всех указанных техпроцессов Samsung обещает обнародовать позже.

Samsung Set to Power the Future of High-Performance Computing and Connected Devices with Silicon Innovation

Details were disclosed at the company’s 3rd Annual US Samsung Foundry Forum

Samsung Electronics, a world leader in advanced semiconductor technology solutions, today unveiled a series of new silicon innovations at the heart of future high-performance computing and connected devices.
With comprehensive process technology roadmap updates down to 3-nanometer (nm) at the annual ‘Samsung Foundry Forum (SFF) 2018 USA’, Samsung Foundry is focused on providing customers with the tools necessary to design and manufacture powerful, yet energy-efficient system-on-chips (SoC) for a wide range of applications.
“The trend toward a smarter, connected world has the industry demanding more from silicon providers,” said Charlie Bae, executive vice president and head of the Foundry Sales & Marketing Team at Samsung Electronics. “To meet that demand, Samsung Foundry is powering innovation at the silicon level that will ultimately give people access to data, analysis, and insight in new and previously unthought-of ways to make human lives better. It is imperative for us to accomplish the first-time silicon success for our customers’ next-generation chip designs.”

Process Technology Roadmap Updates

* 7LPP (7nm Low Power Plus): 7LPP, the first semiconductor process technology to use an EUV lithography solution, is scheduled to be ready for production in the second half of this year. Key IPs are under development, aiming to be completed by the first half of 2019.

* 5LPE (5nm Low Power Early): Through further smart innovation from the 7LPP process, 5LPE will allow greater area scaling and ultra-low power benefits.

* 4LPE/LPP (4nm Low Power Early/Plus): The use of highly mature and verified FinFET technology will be extended to the 4nm process. As the last generation of FinFET, 4nm provides a smaller cell size, improved performance, and faster ramp-up to the stable level of yield by adopting proven 5LPE, supporting easy migration.

* 3GAAE/GAAP (3nm Gate-All-Around Early/Plus): 3nm process nodes adopt GAA, the next-generation device architecture. To overcome the physical scaling and performance limitations of the FinFET architecture, Samsung is developing its unique GAA technology, MBCFETTM (Multi-Bridge-Channel FET) that uses a nano-sheet device. By enhancing the gate control, the performance of 3nm nodes will be significantly improved.

HPC (High-Performance Computing) Solutions

Samsung Foundry delivers the technology solutions to drive the latest hyper-scale datacenters and accelerate the growth of Artificial Intelligence (AI) and Machine Learning capability. From the latest 7LPP technology and beyond with its EUV capability, to the differentiated high-speed IPs such as 100Gbps+ SerDes on top of the innovative 2.5D/3D heterogeneous packaging, Samsung delivers the total platform solutions to greatly increase computing power and accelerate AI revolution.

Connected Device Solutions

From low-power microcontroller units (MCU) and next-generation connected devices to the most sophisticated autonomous vehicles based on 5G and Vehicle to Everything (V2X) communication, Samsung Foundry offers full-featured turnkey platforms to enable compelling products. A broad technology portfolio from 28/18 FD-SOI with eMRAM and RF capability to advanced 10/8nm FinFET processes will enable a great end-user experience for connected devices.
Mr. Bae continued, “Over the past year, we have focused on strengthening our EUV process portfolio to provide each of our customers with the finest technologies. Applying GAA structure to our next generation process node will enable us to take the lead in opening a new smart, connected world, while also to reinforcing our technology leadership.”
Details regarding Samsung Foundry can be found at http://samsungfoundry.com and http://linkedin.com/company/samsungfoundry