Показаны сообщения с ярлыком Samsung Foundry Forum. Показать все сообщения
Показаны сообщения с ярлыком Samsung Foundry Forum. Показать все сообщения

пятница, 11 октября 2019 г.

Представлены новые микросхемы для автономных машин с ДВС и электромобилей на мероприятии Samsung Foundry Forum 2019 в Мюнхене



Для удовлетворения растущего спроса на рынках автономных авто и электромобилей Европы, Ближнего Востока и Африки Samsung предлагает чипы на основе техпроцессов 28 нм FDS и 14 нм

Samsung Electronics, мировой лидер в области передовых полупроводниковых технологий, представил расширенное портфолио инновационных микросхем для автомобильной промышленности Европы, Ближнего Востока и Африки.
Поскольку полупроводники в этом регионе востребованы в широком спектре отраслей, включая автомобилестроение, потребительскую электронику, сетевые технологии и Интернет вещей (IoT), Samsung представил ряд специализированных технологий, таких как FD-SOI, радиочастота (RF) и встроенная память, а также полный набор узлов процесса. Для повышения доступности полупроводниковых решений транснациональный гигант продемонстрировал инновационные платформы, которые объединяют ключевые технические элементы для развёртывания решений на базе 5G, IoT, высокопроизводительных вычислений (HPC) для создания «умных» автомобилей.
«В связи с активным развитием европейской автомобильной промышленности, рынок полупроводниковых решений для этой отрасли привлекает значительное внимание и, как ожидается, будет стремительно развиваться, чтобы удовлетворить растущий спрос в области автономных ДВС-машин и электромобилей», – отметил д-р И Эс Джанг (ES Jung), президент и глава направления производства полупроводников в Samsung Electronics.
Сейчас Samsung производит несколько полупроводниковых продуктов для автопрома, таких как помощник по вождению и информационно-развлекательные системы, в основном на базе 28-нанометровых (нм) FD-SOI и 14-нм техпроцессов. Для того, чтобы удовлетворить растущие запросы клиентов, Samsung планирует в ближайшем будущем представить решения на базе техпроцессов до 8 нм.
Samsung также уделяет особое внимание функциональной безопасности и надёжности компонентов, что имеет решающее значение в автомобильной промышленности, поскольку любой сбой может привести к аварии, травме или другим серьёзным последствиям. производитель уже доказал свою способность разрабатывать решения, соответствующие стандартам автомобильной индустрии, и получил сертификат ISO 26262 компании TÜV Rheinland, а также требованиям надёжности AEC-Q100 и системы управления качеством IATF 16969.

Samsung Introduces Advanced Automotive Foundry Solutions Tailored to EMEA Market at Samsung Foundry Forum 2019 Munich

To address the growing demand in the autonomous and electric vehicle market, Samsung offers various foundry solutions based on 28nm FDS and 14nm process

Samsung Electronics, a world leader in advanced semiconductor technology, today unveiled an expanded portfolio of cutting-edge foundry solutions at its Samsung Foundry Forum (SFF) 2019 Munich.
Samsung attracted more than 200 industry experts from fabless companies and foundry partners, and 16 partner booths displayed advanced foundry technology trends, a significant increase in both numbers compared to last year, representing a more solid customer base of Samsung Foundry as well as greater collaboration in Europe, Middle East, and Africa (EMEA).
Since the EMEA semiconductor market is in demand across a wide range of applications including automotive, consumer, network, and internet-of things (IoT), Samsung introduced various specialty technologies, such as FD-SOI, radio frequency (RF), and embedded memory along with comprehensive portfolio of foundry process nodes.
Samsung Electronics showcased its state-of-the-art foundry platforms that bring together essential technical elements for new-age applications, including 5G, IoT, automotive, and high performance computing (HPC), while expanding its design solution partners to improve global customers’ access to Samsung’s foundry solutions.
“It is a great honor to host our global foundry forum with increasing number of attendees every year. The forum has helped us work closely with our customers and strengthen Samsung’s foundry ecosystem,” said Dr. ES Jung, president and head of foundry business at Samsung Electronics, in the keynote speech. “We will strive to get more customer trust and be the best partner possible to prepare for the future with.”
Given the robustness of the European automotive industry, the foundry platform for automotive semiconductor market is drawing considerable attention, and is expected to rapidly grow to address the increasing demand in the autonomous and electric vehicle market.
Samsung is currently producing several automotive semiconductor products such as driving assistant and infotainment systems, mainly based on its 28-nanometer (nm) FD-SOI and 14nm process nodes. In order to respond to increasing customer inquiries, Samsung plans to expand its automotive process nodes to 8nm in near future.
Samsung is also focusing on functional safety and component reliability, which are critically important in the automotive industry, since any failure could cause serious consequences of accident or injury.
Samsung has already proven its ability to design IPs to meet the required automotive standard, and received the ISO 26262 certification for functional safety in automotive components from TÜV Rheinland. Complying with reliability standard AEC-Q100 and IATF 16969 quality management system, it is also preparing for automotive semiconductor production.
Meanwhile, in cooperation with ecosystem partners, Samsung will host its first SAFE™ (Samsung Advanced Foundry Ecosystem) Forum on Oct 17 in San Jose, to introduce Samsung’s IP, Electronic Design Automation (EDA), and packaging solutions in detail for foundry partners.

среда, 23 мая 2018 г.

Samsung рассказал о планах начать выпуск 3-нм чипов в 2021-м году



В мае 2016 года корпорация Samsung Electronics выделила из своего подразделения по выпуску полупроводников группу для контрактного производства чипов, что потребовало новых подходов для привлечения клиентов, как, например, это делает тайваньская TSMC, которая регулярно и в красках расписывает, как она начнёт выпускать 5-нм и даже 3-нм чипы.
В Samsung не остались в долгу, и также заяили, что "не лыком шиты", представив новинки своих технологий в Санта-Кларе, на домашней конференции Samsung Foundry Forum (SFF) 2018 USA. Представители южнокорейского техногиганта рассказали о будущих техпроцессах с нормами 7 нм, 5 нм, 4 нм и 3 нм. Людям необходимы всё более компактные и мощные мобильные решения с постоянным подключением к Сети. Поэтому в Samsung прекрасно осознают важность непрерывного внедрения новейших техпроцессов.
Так, например, 7LPP (7nm Low Power Plus) будет готов к выпуску решений во 2-м полугодии 2018 года. Правда, полный комплект IP-блоков в виде готовых для применения разработчикамитаких решений будет подготовлен в 1-й половине 2019-го. Это отсрочит появление массовых 7-нм чипов, но не отпугнёт тех, кто готов самостоятельно разрабатывать схемотехнику для скорейшего выпуска 7-нм продукции. Например, компания Qualcomm готова выпускать 5G-чипы с использованием техпроцесса Samsung 7LPP. Это будет первый в индустрии техпроцесс, который станет частично использовать сканеры диапазона EUV.
Следующим техпроцессом, который Samsung намерен внедрить в производство, станет техпроцесс 5LPE (5nm Low Power Early). Отметим, для техпроцесса с нормами 7 нм нет «раннего» варианта типа 7LPE (Early). В корпорации сразу решили переходить к частичному использованию сканеров EUV. Поэтому техпроцесс 5LPE станет чем-то вроде развитой версии техпроцесса 7LPP, что позволит уменьшить площадь кристаллов и увеличить энергоэффективность решений. Всё это ожидается уже в следующем году, когда TSMC будет только начинать использовать сканеры EUV для второго поколения своего 7-нм техпроцесса. Так что у Samsung имеется очень агрессивный план по внедрению новых техпроцессов.
В 2020 году, уже на основе внедрённого в производство техпроцесса 5LPE и с учётом всех выявленных проблем, Samsung планирует внедрить в производство техпроцессы 4LPE/LPP (4nm Low Power Early/Plus). При этом решения с нормами 4 нм сохранят структуру вертикальных транзисторов FinFET, хотя ранее корпорация на этом этапе планировала перейти на кольцевые затворы. Очевидно, было принято решение не экспериментировать, а внедрять то, что пока ещё может работать. Техпроцесс 5LPP отсутствует в планах, а заменить его, по-видимому, решено 4-нм техпроцессом.
Техпроцесс с нормами 3 нм и кольцевыми затворами Gate-All-Around в виде версий 3GAAE/GAAP (3nm Gate-All-Around Early/Plus) вендор собирается запустить в 2021 году. Кольцевые затворы будут окружать транзисторные каналы со всех сторон, что позволит удержать рабочие токи на заданном уровне, несмотря на сильно измельчавшие каналы и площади затворов.
Samsung выбрал в качестве затворов наностраницы, а не нанопровода. Проще говоря, кольцевые затворы в разрезе будут выглядеть как прямоугольники со скруглёнными краями. Подробные и ожидаемые характеристики транзисторов для всех указанных техпроцессов Samsung обещает обнародовать позже.

Samsung Set to Power the Future of High-Performance Computing and Connected Devices with Silicon Innovation

Details were disclosed at the company’s 3rd Annual US Samsung Foundry Forum

Samsung Electronics, a world leader in advanced semiconductor technology solutions, today unveiled a series of new silicon innovations at the heart of future high-performance computing and connected devices.
With comprehensive process technology roadmap updates down to 3-nanometer (nm) at the annual ‘Samsung Foundry Forum (SFF) 2018 USA’, Samsung Foundry is focused on providing customers with the tools necessary to design and manufacture powerful, yet energy-efficient system-on-chips (SoC) for a wide range of applications.
“The trend toward a smarter, connected world has the industry demanding more from silicon providers,” said Charlie Bae, executive vice president and head of the Foundry Sales & Marketing Team at Samsung Electronics. “To meet that demand, Samsung Foundry is powering innovation at the silicon level that will ultimately give people access to data, analysis, and insight in new and previously unthought-of ways to make human lives better. It is imperative for us to accomplish the first-time silicon success for our customers’ next-generation chip designs.”

Process Technology Roadmap Updates

* 7LPP (7nm Low Power Plus): 7LPP, the first semiconductor process technology to use an EUV lithography solution, is scheduled to be ready for production in the second half of this year. Key IPs are under development, aiming to be completed by the first half of 2019.

* 5LPE (5nm Low Power Early): Through further smart innovation from the 7LPP process, 5LPE will allow greater area scaling and ultra-low power benefits.

* 4LPE/LPP (4nm Low Power Early/Plus): The use of highly mature and verified FinFET technology will be extended to the 4nm process. As the last generation of FinFET, 4nm provides a smaller cell size, improved performance, and faster ramp-up to the stable level of yield by adopting proven 5LPE, supporting easy migration.

* 3GAAE/GAAP (3nm Gate-All-Around Early/Plus): 3nm process nodes adopt GAA, the next-generation device architecture. To overcome the physical scaling and performance limitations of the FinFET architecture, Samsung is developing its unique GAA technology, MBCFETTM (Multi-Bridge-Channel FET) that uses a nano-sheet device. By enhancing the gate control, the performance of 3nm nodes will be significantly improved.

HPC (High-Performance Computing) Solutions

Samsung Foundry delivers the technology solutions to drive the latest hyper-scale datacenters and accelerate the growth of Artificial Intelligence (AI) and Machine Learning capability. From the latest 7LPP technology and beyond with its EUV capability, to the differentiated high-speed IPs such as 100Gbps+ SerDes on top of the innovative 2.5D/3D heterogeneous packaging, Samsung delivers the total platform solutions to greatly increase computing power and accelerate AI revolution.

Connected Device Solutions

From low-power microcontroller units (MCU) and next-generation connected devices to the most sophisticated autonomous vehicles based on 5G and Vehicle to Everything (V2X) communication, Samsung Foundry offers full-featured turnkey platforms to enable compelling products. A broad technology portfolio from 28/18 FD-SOI with eMRAM and RF capability to advanced 10/8nm FinFET processes will enable a great end-user experience for connected devices.
Mr. Bae continued, “Over the past year, we have focused on strengthening our EUV process portfolio to provide each of our customers with the finest technologies. Applying GAA structure to our next generation process node will enable us to take the lead in opening a new smart, connected world, while also to reinforcing our technology leadership.”
Details regarding Samsung Foundry can be found at http://samsungfoundry.com and http://linkedin.com/company/samsungfoundry

среда, 18 октября 2017 г.

Samsung готов к производству 8-нм полупроводников



В сегодняшнем пресс-релизе Samsung  объявил, что 8-нанометровая технология FinFET (8LPP) прошла квалификацию и готова к внедрению в производство.
Технология обеспечивает снижение энергопотребления до 10% с одновременным уменьшением площади микросхем до 10% в сравнении с технологией 10LLP. То есть, как и в случае 12нм и 16нм норм, речь идёт об улучшении существующей технологии.
Благодаря усовершенствованию ожидается, что высокий процент выхода годной продукции, производимой по 8-нанометровой технологии, будет достигнут очень быстро.
В Samsung отмечают, что квалификация 8LLP завершена на 3 месяца раньше запланированного срока. Первые партии продукции будут направлены заинтересованным заказчикам уже в ближайшее время.
Сегодня же образцы новых продуктов были представлены на специальном мероприятии Samsung Foundry Forum Europe (Мюнхен, Германия), где помимо упомянутой выше 8LPP, были представлены 7-нм EUV и другие решения. Такие форумы Samsung проводит регулярно и подобные мероприятия в этом году уже состоялись в США, Южной Корее и Японии.  

Samsung Completes Qualification of 8nm LPP Process

8nm LPP is now ready for production, targeting high performance applications

Samsung Electronics, a world leader in advanced semiconductor technology, announced today that 8-nanometer (nm) FinFET process technology, 8LPP (Low Power Plus), has been qualified and is ready for production.
The newest process node, 8LPP provides up to 10-percent lower power consumption with up to 10-percent area reduction from 10LPP through narrower metal pitch. 8LPP will provide differentiated benefits for applications including mobile, cryptocurrency and network/server, and is expected to be the most attractive process node for many other high performance applications.
As the most advanced and competitive process node before EUV (extreme ultra violet) is employed at 7nm, 8LPP is expected to rapidly ramp-up to the level of stable yield by adopting the already proven 10nm process technology.
“With the qualification completed three months ahead of schedule, we have commenced 8LPP production,” said Ryan Lee, Vice President of Foundry Marketing at Samsung Electronics. “Samsung Foundry continues to expand its process portfolio in order to provide distinct competitive advantages and excellent manufacturability based on what our customers and the market require.”
“8LPP will have a fast ramp since it uses proven 10nm process technology while providing better performance and scalability than current 10nm-based products” said RK Chunduru, Senior Vice President of Qualcomm.
Details of the recent update to Samsung’s foundry roadmap, including 8LPP availability and 7nm EUV development, will be presented at the Samsung Foundry Forum Europe on October 18, 2017, in Munich, Germany. The Samsung Foundry Forum was held in the United States, South Korea and Japan earlier this year, sharing Samsung’s cutting-edge process technologies with global customers and partners.