Показаны сообщения с ярлыком the Future of Foundry. Показать все сообщения
Показаны сообщения с ярлыком the Future of Foundry. Показать все сообщения

пятница, 26 мая 2017 г.

Samsung раскрыл свою "дорожную карту" в области создания микрочипов






На ежегодном мероприятии Samsung Foundry Forum южнокорейский электронный гигант рассказал о планах освоения новых норм техпроцесса. В минувшую среду была представлена дорожная карта, где говорится о том, что Samsung готовится освоить рекордные для отрасли 4 нм.
До последнего времени "горизонты планирования" большинства производителей полупроводниковых изделий упирались в технологический рубеж 5 нм, и только самые смелые участники рынка могли утверждать, что им по силам освоить выпуск продукции по более "тонким" техпроцессам. Samsung, похоже, преодолеет и этот барьер.
Для начала упомянем, что литографические технологии, использующие "сверхжёсткое ультрафиолетовое излучение" (EUV), в массовом производстве Samsung начнёт внедрять уже в следующем году, в рамках 7-нм технологии категории LPP, для компонентов мобильных устройств и прочих продуктов с низким энергопотреблением. Этот техпроцесс разрабатывается Samsung совместно с компанией ASML и станет первым с использованием EUV. Также он нарушит закон Мура, гласящий, что количество транзисторов на кристалле удваивается каждые 24 месяца.
В текущем году будет освоен 8-нм техпроцесс категории LPP, но он ограничится имеющимися литографическими технологиями. В 2019 году Samsung расширит техпроцессы класса LPP до 6-нм и 5-нм вариантов, соответственно.
В 2020 году южнокорейская корпорация рассчитывает запустить опытное производство изделий, использующих 4-нм техпроцесс в сочетании с транзисторными структурами MBCFET (multi-bridge channel FET), которые являются эволюционными преемниками FinFET и частным случаем GAAFET (gate-all-around FET).
Чтобы вывести EUV-производство на коммерчески оправданные показатели, Samsung должен выпускать по 1500 кремниевых пластин соответствующего типа в день. Уже сейчас созданы условия, чтобы выпускать более 1000 кремниевых пластин в день. Представители подразделения Samsung Semiconductor убеждены, что к следующему году удастся выйти на целевые показатели.
В Samsung считают, что 10-нм техпроцесс будет иметь достаточно долгую и продуктивную конвейерную жизнь. В сегменте FD-SOI корпорация намерена перейти с 28-нм технологии на 18-нм к 2019 году. Новая версия этого техпроцесса позволит на 20% повысить быстродействие, и на 40% снизить энергопотребление.
«Повсеместное распространение интеллектуальных машин и умной бытовой техники сигнализирует о начале следующей промышленной революции», — сказал Чжон Сик Ён (Jong Shik Yoon), исполнительный вице-президент подразделения Samsung по полупроводниковому производству.
Планы южнокорейского производителя включают освоение следующих техпроцессов на ближайшие годы:

* 8LPP (8 нм Low Power Plus): это последний этап перед переходом к литографии в жёстком ультрафиолетовом диапазоне (EUV). Будучи развитием 10-нанометрового техпроцесса 10LPP, он обеспечит повышение плотности компоновки и производительности.
* 7LPP (7 нм Low Power Plus): это первый техпроцесс EUV. Источник излучения EUV мощностью 250 Вт, необходимый для серийного производства, создан совместно специалистами Samsung и голландской ASML.
* 6LPP (6 нм Low Power Plus): обеспечит дальнейшее повышение плотности и снижение энергопотребления за счёт применения фирменной технологии масштабирования Samsung Smart Scaling.
* 5LPP (5 нм Low Power Plus): последний этап, на котором будут использоваться транзисторы FinFET.
* 4LPP (4 нм Low Power Plus): на этом этапе Samsung планирует перейти к новой архитектуре транзисторов, которая получила название MBCFET (Multi Bridge Channel FET). Особенностью реализации MBCFET является фирменная технология Samsung GAAFET (Gate All Around FET), в которой используются наноматериалы.
* FD-SOI (Fully Depleted – Silicon on Insulator): техпроцесс 18FDS придёт на смену нынешнему 28FDS. Он позволит интегрировать в один кристалл логические и радиочастотные цепи, и память eMRAM (embedded Magnetic Random Access Memory).


Samsung Set to Lead the Future of Foundry with Comprehensive Process Roadmap Down to 4nm

Samsung Electronics, a world leader in advanced semiconductor technology, announced a comprehensive foundry process technology roadmap to help customers design and manufacture faster, more power efficient chips. From hyper-scale data centers to the internet-of-things, the industry trend to develop smart, always-on, connected devices requires giving consumers an unprecedented amount of access to information in new and powerful ways. Specifically, Samsung is set to lead the industry with 8nm, 7nm, 6nm, 5nm, 4nm and 18nm FD-SOI in its newest process technology roadmap.
“The ubiquitous nature of smart, connected machines and everyday consumer devices signals the beginning of the next industrial revolution,” said Jong Shik Yoon, Executive Vice President of the Foundry Business at Samsung Electronics. “To successfully compete in today’s fast-paced business environment, our customers need a foundry partner with a comprehensive roadmap at the advanced process nodes to achieve their business goals and objectives.”
Samsung’s newest foundry process technologies and solutions introduced at the annual Samsung Foundry Forum include:

* 8LPP (8nm Low Power Plus): 8LPP provides the most competitive scaling benefit before transitioning to EUV (Extreme Ultra Violet) lithography. Combining key process innovations from Samsung’s 10nm technology, 8LPP offers additional benefits in the areas of performance and gate density as compared to 10LPP.
* 7LPP (7nm Low Power Plus): 7LPP will be the first semiconductor process technology to use an EUV lithography solution. 250W of maximum EUV source power, which is the most important milestone for EUV insertion into high volume production, was developed by the collaborative efforts of Samsung and ASML. EUV lithography deployment will break the barriers of Moore’s law scaling, paving the way for single nanometer semiconductor technology generations.
* 6LPP (6nm Low Power Plus): 6LPP will adopt Samsung’s unique Smart Scaling solutions, which will be incorporated on top of the EUV-based 7LPP technology, allowing for greater area scaling and ultra-low power benefits.
* 5LPP (5nm Low Power Plus): 5LPP extends the physical scaling limit of FinFET structure by implementing technology innovations from the next process generation, 4LPP, for better scaling and power reduction.
* 4LPP (4nm Low Power Plus): 4LPP will be the first implementation of next generation device architecture – MBCFETTM structure (Multi Bridge Channel FET). MBCFETTM is Samsung’s unique GAAFET (Gate All Around FET) technology that uses a Nanosheet device to overcome the physical scaling and performance limitations of the FinFET architecture.
* FD-SOI (Fully Depleted – Silicon on Insulator): Well suited for IoT applications, Samsung will gradually expand its 28FDS technology into a broader platform offering by incorporating RF (Radio Frequency) and eMRAM(embedded Magnetic Random Access Memory) options. 18FDS is the next generation node on Samsung’s FD-SOI roadmap with enhanced PPA (Power/Performance/Area).

EVP Yoon concluded that “Samsung Foundry’s advanced process technology roadmap is a testament to the collaborative nature of our customer and ecosystem partner relationships. The inclusion of the process technologies above will enable an explosion of new devices that will connect consumers in ways never seen before.”