Показаны сообщения с ярлыком Samsung Semiconductor. Показать все сообщения
Показаны сообщения с ярлыком Samsung Semiconductor. Показать все сообщения

четверг, 21 ноября 2019 г.

В Samsung разработали способ повышения эффективности EUV-литографии


Год назад мы сообщали, что Samsung первым в мире приступил к использованию сканеров диапазона EUV (13,5 нм) для коммерческого выпуска чипов. Можно сказать, что Samsung дал EUV-литографии путёвку в жизнь, хотя это не избавило её от "детских болезней", которые необходимо преодолеть. Проблем с EUV (Extreme Ultraviolet) довольно много и их необходимо решать параллельно развёртыванию всё новых и новых коммерческих систем. Samsung, являясь пионером в продвижении этой технологии, тщательно анализирует все возникающие трудности и постепенно находит пути для их преодоления.
На прошедшей 19 ноября конференции «The Korean Society of Semiconductor & Display Technology» в Университете Ханьян представитель Samsung рассказал о двух серьёзных проблемах, которые приходится решать по мере снижения масштаба технологического процесса при выпуске полупроводников. Одна проблема кроется в высокой плотности энергии пучка EUV-излучения, а вторая, связанная с ней ― в невозможности эффективно протравить тончайшие дорожки после обработки пластины и в сложностях с депонированием добавок в предельно узкие канавки цепей в кристаллах.
Высокая удельная плотность энергии EUV-луча ― в 10 раз больше, чем у луча 193-нм лазера ― ведёт к возникновению паразитных образований в фоторезисте, что вместе со ставшими более тонкими дорожками приводит к появлению так называемых микромостов. Иначе говоря, возникают не предусмотренные схемой перемычки, которые могут вызвать отказ микросхем. Сегодня корпорация борется с микромостами при помощи повторяемой кратковременной экспозиции и повторным травлением. При этом удлиняется производственный цикл, что только усугубится после очередного уменьшения масштаба технологических норм. Сканеры EUV ― это весьма дорогие установки стоимостью $171 миллион за штуку. Окупить такую установку очень тяжело и удлинение технологических циклов не будет этому способствовать.
Вторая проблема при использовании EUV-сканеров связана с уменьшением размеров элементов на кристалле. Канавки для цепей и элементов становятся уже, что затрудняет внесение примесей в полупроводники и даже циркуляцию химических составов в процессе травления. Чтобы протравить канавку, необходимо больше времени, реагентов и защитного покрытия в тех местах, которые не подлежат травлению.
Для устранения этих препятствий Samsung предлагает сделать две вещи. Во-первых, экстремально снизить рабочую температуру внутри камеры с обрабатываемой пластиной. Во-вторых, значительно понизить давление внутри камеры. Охлаждение до низких температур уменьшит активность реагентов и даст возможность избежать как появления микромостов (за счёт снижения чувствительности фоторезиста), так и снизит нагрузку на защитную полимерную плёнку. Низкое давление в камере, в сочетании с интенсивной обработкой протравленных зазоров рабочими газами для депонирования, усилит циркуляцию материалов в канавках и ускорит циклы травления и депонирования. Но пока это только теория, которую ещё предстоит довести до коммерческой реализации, сообщает южнокорейский технопортал ETNews.

Samsung Electronics Looks for Solutions on Two Challenges of EUV Process

EUV (Extreme Ultraviolet) process is the technology that is currently drawing the most interests for microfabrication process of semiconductor. However, there are still many tasks that need to be resolved as EUV process is still in its initial phase. Samsung Electronics stated that it is conducting R&D on various solutions such as extreme low-temperature process and extreme low-pressure process to resolve possible challenges.
Master Park Jong-cheol of Samsung Electronics explained during ‘The Korean Society of Semiconductor & Display Technology Fall Conference’, which was held at Hanyang University on the 19th, that there are currently two obstacles for etching process that shaves off circuits as the semiconductor industry is starting to enter an EUV process era.
‘Micro bridge’ that occurs at the bottom of a circuit is the first obstacle. Also, it has also become more difficult to place a material deep inside in order to shave off circuits as the width of a circuit has become extremely narrow.
EUV process is a photolithography process that repeatedly prints circuits on a circular wafer with EUV light source (13.5nm). Because the wavelength is about 14 times smaller than the wavelength of ArF (Argon Fluoride, 193nm) light source, which is the most commonly used light source, EUV process can print circuits more elaborately and finely. This is the reason why Samsung Electronics and TSMS from Taiwan are focusing all of their abilities in order to gain leadership in this technology.
However, shorter wavelength causes new flaws such as micro bridge.
EUV photoresist is applied on a semiconductor wafer during photolithography process. Main problem is that the energy of the wavelength of EUV light source becomes 10 times greater as wavelength becomes shower. Because wavelength and energy are inversely proportional, wavelength hits the surface of a wafer harder as it becomes shorter. Light source with greater energy either causes the nucleus of an atom of a reactant that is included in an EUV photoresist to pop out or it causes brand new atoms to combine. As a result, foreign substances that semiconductor manufacturers do not want are formed. In addition, the width of a circuit has become thinner causing areas that are not supposed to be shaved off to be connected. This is called micro bridge.
“Although photolithography process can be lengthened in order to resolve micro bridge issue, we have to consider the fact that the productivity of an EUV photolithography system that costs about $171 million (200 billion KRW) can decline faster.” said Master Park.
He explained that Samsung Electronics has chosen a method that repeatedly shaves off micro bridges while protecting the upper part of a circuit with polymer.
“Because it may be difficult to maintain this method for next-generation processes, it is likely that we are going to need a brand new etching technology.” said Master Park.
Another problem is that it has become difficult to place materials that are needed for etching process deep inside of a circuit as the width of a circuit has become significantly narrower. Polymer covers the surface during etching process in order to prevent circuits from shaved off unevenly.
Problem is that circuits made through EUV are already thin and that polymer that is currently used makes entrance even narrower and causes ‘bottleneck phenomenon’. As a result, more time and cost are necessary in order to place etching materials and ions deep inside through a narrow entrance.
“Because etching process time becomes longer and there needs to be more power to place etching materials deep inside, there is a vicious cycle such as protecting the upper part of a circuit even more.” said Master Park. “Samsung’s executive team is already aware of this issue and is laboring over for a solution.”
Master Park suggested ‘extreme low-temperature’ etching process as a possible solution. By decreasing the temperature of the inside of a chamber where wafers go in, gaseous movements that are necessary for etching process are minimized even without polymer. His solution is based on a principle that materials that are active in room temperature move slowly in extreme low-temperature.
“Extreme low-temperature method to create effective etching materials and ‘high pumping’ etching technology that prevents bottleneck phenomenon by forcing gas inside of a chamber to move faster can be possible alternatives.” said Master Park. “Samsung Electronics is currently conducting R&D on these technologies to apply them to actual mass-production process.” He also added that more research on these issues by the academic industry will be a big help for the semiconductor industry.

четверг, 7 ноября 2019 г.

За последние 3 года Samsung вложил рекордную сумму в развитие полупроводникового бизнеса



Вклад 5-ти ведущих чипмейкеров (Samsung, Intel, TSMC, SK Hynix и Micron) в общую сумму капитальных вложений в полупроводниковой отрасли в 2019 году достигнет рекордных 68%. Если прогноз аналитиков IC Insights сбудется, то лидеры отрасли улучшат прежнее достижение - 67%, которое было зарегистрировано в 2013 и 2018 годах.
Специалисты отмечают, что в 1994 году на долю крупнейших производителей микросхем приходилось лишь 25% от общего объёма полупроводниковых капвложений. Однако со временем крупные компании увеличили ассигнования. Такая тенденция не ослабевает и в настоящее время.
Из публичных заявлений Samsung и TSMC известно, что оба полупроводниковых гиганта запланировали рекордные капвложения на IV квартал 2019 года. В частности, TSMC, крупнейший контрактный производитель чипов, в октябре-декабре намерен увеличить инвестиции в свои полупроводниковые мощности на 64% в поквартальном выражении и довести их до 5,15 млрд долларов США.
Samsung также собирается довести до максимума капитальные расходы в октябре-декабре. Сумма должна вырасти на 81% по сравнению с июлем-сентябрём и достигнуть 7,9 млрд долларов. Если корпорация осуществит задуманное, она побьёт прежний рекорд квартальных капзатрат в 6,88 млрд долларов, зарегистрированный в октябре-декабре 2017 года.
По итогам всего 2019 года полупроводниковые инвестиции Samsung должны составить 19,9 млрд долларов, что на 8% меньше показателей 2018 года. Однако в сумме за последние 3 года техногигант потратит 65,8 млрд долларов, и это на целых 53% больше, чем за такой же период израсходует Intel, занимающая 2-е место в мире по уровню полупроводниковых капиталовложений.
Также аналитики подсчитали, что с 2017 по 2019 годы Samsung потратит на развитие производства чипов вдвое больше, чем все полупроводниковые производители КНР вместе взятые. Суммарные инвестиции развивающихся китайских чипмейкеров в рассматриваемый период оцениваются лишь в 30,8 млрд долларов.

Samsung Electronics tops list of companies' semiconductor investment over past 3 years

Samsung Electronics’ investment in its semiconductor manufacturing over a three-year period is expected to be far higher than its American and Taiwanese rivals, an industry report showed Nov. 7.
The South Korean memory chip giant’s combined capital expenditure from 2017 to 2019 for its semiconductor business is expected to be $65.8 billion, 53 percent more than its American rival Intel, the next highest spender over the period, according to market researcher IC Insights.
Samsung’s 2017-2019 semiconductor spending is predicted to be more than double the $30.8 billion by all indigenous Chinese suppliers combined over the same period, the research agency said. 
The company plans to increase its investment by 81 percent in the fourth quarter from a quarter earlier to $7.9 billion, which exceeds its previous quarterly high of $6.9 billion two years earlier, the researcher said. 
Taiwanese foundry leader TSMC is set to increase its capital expenditures by 64 percent to $5.1 billion in the October-December period from three months earlier, also an all-time high for the company‘s quarterly spending, it noted. 
“It appears that the company is very serious about staying well ahead of the new China memory startups and competing with TSMC at the leading edge of logic technology,” IC Insights said in a report.

понедельник, 21 октября 2019 г.

История Samsung Electronics: эра энергоэффективности и экспортного бума (1975-1980)












50-летие крупнейшего южнокорейского чеболя

Запуск производства энергосберегающей электроники

Очередной скачок роста ключевых бизнес-показателей случился в апреле 1975 года. Тогда Samsung представил первый на рынке телевизор Econo TV с функцией мгновенного приёма сигнала, которая позволяла существенно экономить на энергопотреблении. Затянувшийся нефтяной кризис 1970-х годов способствовал глобальной популяризации энергосберегающих продуктов, поэтому новинка пришлась весьма кстати и стала бестселлером. В это же время, по состоянию на 20 октября 1976 года, Samsung выпустил 1 миллион единиц чёрно-белых телевизоров.
В том же 1975 году Samsung вышел на рынок холодильников с инновационной системой косвенного охлаждения. Постепенно расширяя портфолио моделей в этой категории устройств, уже в 1976 году Samsung выпустил первый энергосберегающий холодильник «High Cold» с повышенной энергоэффективностью и увеличенным сроком службы.
Руководство конгломерата сделало ставку на консолидацию ресурсов и, объявив о слиянии Samsung Electrics с Samsung Electronics, объединило все заводы и фабрики. Это позволило существенно нарастить продажи телеприёмников: c 34.000 в декабре 1975 года до 746.000 в 1978-м году.
Благодаря этим успехам Samsung завоевал 40,9% доли рынка в Южной Корее, заняв лидирующую позицию по продажам домашней электроники.

Рекордное производство и экспортный бум

Оценив потенциал рынка ТВ, в 1978 Samsung запустил массовое производство цветных телевизоров и представил свою первую микроволновую печь. Продолжив наращивать производственные мощности, вендор открыл завод моторов и заложил первый камень в строительство завода холодильного оборудования. В этот период Samsung Electronics производит больше телевизоров, чем любая другая компания. Экспорт устройств превышает в суммарном объёме 100 миллионов долларов. В июле 1978 года в США была основано отделение Samsung Electronics America (SEA), которое повысило глобальную узнаваемость брэнда Samsung и сформировало собственную систему обслуживания.
Удачный синтез инноваций и оптимизированных затрат позволил будущему техногиганту достичь впечатляющих результатов. В этом же году система контроля качества на производствах Samsung была отмечена национальной премией в Корее.

Открытие R&D центра в Сувоне

Укрепляя свои позиции на рынке электроники, Samsung сосредоточил инвестиции на исследованиях и разработках. В декабре 1979 года Samsung Electronics учреждает научно-исследовательский центр, который начал свою работу в апреле 1980 года в Сувоне (южный пригород Сеула). Появление центра способствовало развитию корпорации в области электроники, полупроводников, высокополимерных химических веществ, генной инженерии, оптических линий связи и аэрокосмических систем, а также в сфере технологических инноваций (от нанотехнологий до сетевых архитектур последнего поколения).

Объединение Samsung Semiconductor с Samsung Electronics

Количество полупроводников, которые Samsung Electronics мог закупать у Samsung Semiconductors было ограничено, а в Samsung Semiconductors на тот момент не владели нужной технологией для предоставления Samsung Electronics высококачественной продукции. В результате Samsung Electronics пришлось использовать полупроводники других компаний. Более того, эти компании начали прекращать поставки полупроводников. Под влиянием обстоятельств Samsung Electronics принимает решение приобрести Samsung Semiconductors. Этот процесс слияний и поглощений обеспечил синергию производства электроники и полупроводниковых материалов.

ПРОДОЛЖЕНИЕ СЛЕДУЕТ

Видео: редкая возможность представилась съёмочной группе CNN побывать в кампусе Центра исследований и разработок Samsung Electronics в Сувоне (Южная Корея), а также в его филиалах.

четверг, 19 сентября 2019 г.

Samsung останется ведущим производителем DRAM по итогам 3-го квартала 2019


Ожидается, что в 3-м квартале Samsung Electronics укрепит свои лидирующие позиции на мировом рынке DRAM, несмотря стагнацию рынка чипов. 
Об этом сообщается в отраслевом отчёте 19 сентября. Ожидается, что доля южнокорейского техногиганта на рынке DRAM достигнет 47%. В 1-м квартале эта цифра составляла 41%, в во 2-м увеличилась до 43%, согласно данным исследовательской компании IHS Markit.
Samsung лидирует на глобальном рынке чипов памяти в этом году благодаря конкурентному преимуществу в производстве чипов высокой плотности для серверов и смартфонов, даже несмотря на вялый общемировой спрос и снижение цен на основные продукты, сообщает бизнес-портал The Investor. 
По прогнозам IHS Markit, в 3-м квартале доля DRAM в производственном портфеле ближайшего южнокорейского конкурента SK hynix сократится до 27% процентов, в то время как в двух предыдущих кварталах она составляла 30 и 28%.  
Также прогнозируется, что американский производитель микросхем Micron Technology в период с июля по сентябрь займёт 22% рынка. 
В условиях падения цен на микросхемы памяти 1-м полугодии доход Samsung упал на 57,9%, а у производителя чипов №2 SK hynix - на 79,8%.
Хотя в Samsung ожидали постепенного восстановления спроса во 2-й половине этого года, теперь там выражают обеспокоенность по поводу растущей неопределённости на фоне затянувшейся торговой войны между США и Китаем, а также, возникших в последнее время проблем, связанных с ограничением поставок японских химических компонентов в Корею из-за политического спора, касающегося выплат компенсаций жертвам японской оккупации в первой половине прошлого века. Недавно обе страны исключили друг друга из "белого списка" наибольшего благоприятствования в торговле.

Samsung to retain No. 1 position in DRAM market in Q3: report

Samsung Electronics is expected to strengthen its leading spot in the global DRAM market in the third quarter despite generally weak chip demand, an industry report showed Sept. 19. 
The South Korean tech giant’s share in the DRAM market was expected to reach 47 percent in the July-September period, up from 41 percent and 43 percent in the first and second quarters, respectively, according to the market researcher IHS Markit.
Samsung has outperformed the overall memory chip market this year with its competitive edge in chips for high-density servers and smartphones, despite sluggish global demand and softening prices of major products. 
Its smaller home rival SK hynix’s DRAM share was predicted to be at 27 percent of the total in the third quarter, falling from 30 percent and 28 percent in the previous quarters, IHS Markit said.  
US chipmaker Micron Technology was anticipated to take up 22 percent in the July-September period, it noted. 
In the face of falling memory chip prices, Samsung saw its operating profit nose-dive 57.9 percent in the first half of the year, while that of No. 2 chipmaker SK hynix sank 79.8 percent.
Although Samsung expected a gradual recovery in the demand side in the latter half of this year, it expressed concerns over rising uncertainties amid the prolonged trade war between the United States and China, and, more recently, Japan‘s export curbs on Korea.

пятница, 16 августа 2019 г.

Samsung и американский оператор AT&T тестируют решения на базе 5G с целью улчшения работы предприятия по выпуску чипов








Авторитетное издание Wall Street Journal (WSJ) сообщает, что Samsung и американский оператор связи AT&T проводят совместное тестирование систем ультраскоростной беспроводной передачи сигнала с целью перевода работы предприятия по выпуску полупроводников в Остине (штат Техас) на новый качественный уровень. Для этого на заводе Samsung Austin Semiconductor была открыта специальная "инновационная зона 5G" (5G Innovation Zone), на базе которой испытываются образцы производственных линий нового поколения.
Представители Samsung и AT&T заявляют, что 5G - это не просто новомодная технологическая "игрушка", а средство достижения ранее невиданных показателей эффективности производственных линий различного назначения.
Это касается буквально всех аспектов: начиная от скорости и точности работы автоматического конвейера до систем противопожарной безопасности.
5G Innovation Zone призвана стать центром, откуда пойдёт распространение технологий связи пятого поколения во все сферы американской экономики времён 4-й промышленной революции. Samsung и его местные партнёры должны сыграть одну из ключевых ролей по внедрению 5G в повседневную жизнь как обычных пользователей услуг мобильной связи, так и высокотехнологичных компаний.     

Samsung Tests How 5G Can Improve Chip-Making

Company is experimenting with the new technology to show what ultrafast speeds can do at its Austin chip factory

Samsung Electronics Co. is testing how fifth-generation wireless networks can speed up connections at its chip-making factory in Austin, Texas, a pilot that aims to prove 5G is more than a buzzword.
The company has teamed up with AT&T Inc. ’s communications division to develop a customized 5G network to experiment with how it could be used in chip manufacturing.
The fifth generation of cellular networking, 5G is designed to replace current 4G technology, also known as LTE. The ultrafast speeds and reduced lag that will come with 5G will buttress new applications such as augmented reality and self-driving cars. Peak download speeds using 5G are expected to be about 100 times as fast as with 4G.
The transformation that will come from widespread commercial 5G deployments in manufacturing, logistics, transportation and energy is still about a decade away, experts have said. That’s partly because it will take time to roll out the infrastructure to achieve full 5G coverage.
In the meantime, Samsung and other companies are testing 5G’s potential in limited pilots to show what the technology can do.
“We’re still in the experimentation phase, but we’re hopeful there’s value,” said Alok Shah, vice president of networks strategy, business development and marketing at Samsung Electronics America, the company’s U.S. unit.
Factories will be a big beneficiary of 5G connections, said Andre Fuetsch, chief technology officer for AT&T Communications, AT&T’s biggest division.
“We see 5G being a great solution for solving a lot of the Wi-Fi issues that typical factories have today,” he said. The technology, for example, could be used on manufacturing floors to power more reliable connections for computer-vision-scanning equipment that checks product quality.
AT&T has also rolled out consumer 5G networks in about 20 U.S. cities.
Samsung Electronics America and AT&T have invested millions of dollars in 5G innovation at Samsung’s chip-manufacturing facility in Austin. Thousands of employees work at the chip factory, which is the size of about 10 football fields, Mr. Shah said.
Chip-making uses a lot of water and toxic chemicals; 5G could help chip factories cut waste and alert workers to safety hazards.
For example, 5G would allow more sensors to be installed to detect air quality, Mr. Shah said. Streaming real-time data from the sensors over 5G networks would mean that a control center can immediately detect serious air-quality hazards and move people out of harm’s way. Sensors in factories today can’t rely on existing wireless networks to pass along warnings to a control center, Mr. Shah said.
“Being able to put thousands of sensors within a relatively small space is hard for other [networking] technologies to support,” Mr. Shah said. Certain networks can only support a finite number of devices. Fifth-generation wireless networks could support 1 million devices per square kilometer, up from about 100,000 devices per square kilometer with 4G LTE, he said.
Sensors on pumps and valves could also stream data about water usage over 5G networks so the facility can improve the efficiency of its water usage in real time and reduce waste.
Using 5G connections, workers could also learn how to repair equipment on the factory floor through augmented and virtual-reality headsets without any buffering or lags.
Other companies including New York Times Co. and German engineering firm Robert Bosch GmbH are testing 5G in pilots. The market for 5G, including related network infrastructure, is forecast to grow to $26 billion in 2022 from $528 million in 2018, according to research firm International Data Corp.
The tests are often “showcase demonstration pieces,” useful for proving that 5G could generate revenue through new services or make processes more efficient, said Jason Leigh, research manager for mobility and 5G at IDC.
“The sooner you can get something tangible, it makes it easier to have that discussion at a C-suite and board level about what 5G really is, and it’s not just this fad,” Mr. Leigh said.

суббота, 10 августа 2019 г.

Samsung PM1733: новые SSD с PCIe 4.0 и поддержкой AMD EPYC 7002 Rome




О поддержке новых серверных процессоров AMD EPYC 7002 поколения Rome уже заявили многие крупные производители, после чего стоимость акций AMD уверенно пошла вверх. Теперь и Samsung представляет новую серию твердотельных накопителей PM1733.
Samsung PM1733 построены на памяти TLC V-NAND и используют интерфейс PCIe 4.0. Они выпускаются как в варианте U.2, так и в форм-факторе HHHL (Gen 4 x8). Правда, если в первом случае Samsung предлагает накопители объёмом до 30,72 Тбайт, то во втором их ёмкость ограничена 15,36 Тбайт.
Современные SSD с интерфейсом PCIe 4.0 предлагают скорость последовательного чтения/записи в диапазоне 5000/4400 Мбайт/с. Но в случае с Samsung PM1733 эта цифра достигает потрясающих 8000 Мбайт/с, а производительность SSD в операциях с произвольным доступом блоками по 4 Кбайт доходит до отметки 1500K IOPS.
Серия твердотельных накопителей Samsung PM1733 появится в продаже уже в этом квартале, хотя их цена пока не объявлена. Но если учесть рекордные характеристики нового продукта, рассчитывать на доступный ценник вряд ли возможно.

Samsung’s PM1733 SSD and High Density DIMMs Support AMD EPYC™ 7002 Series Processor

Samsung Electronics has taken its leadership position in the memory market a step further today by announcing support of the Samsung PM1733 PCIe Gen4 Solid State Drive (SSD) and high density RDIMM1 and LRDIMM2 dynamic random access memory (DRAM) for the AMD EPYC™ 7002 Generation Processors. AMD launched the 2nd Gen AMD EPYC™ processor in San Francisco yesterday.
“AMD has listened to the needs of its customers in developing the 2nd Gen AMD EPYC processors and has worked closely with us to integrate the best of our cutting-edge memory and storage products,” said Jinman Han, senior VP of Memory Product Planning, Samsung Electronics. “With these new datacenter processors, AMD is providing customers with a processor that enables a new standard for the modern datacenter.”
“We’re excited and thankful to have partners like Samsung supporting the launch of our 2nd Gen AMD EPYC processors,” said Scott Aylor, corporate vice president and general manager, Datacenter Solutions Group, AMD. “With twice the cores, breakthrough performance and embedded security features, all optimized by leadership architecture, customers can now transform their datacenter operations at the pace of their business growth.”
The AMD EPYC™ 7002 Generation Processors are expected to deliver up to 2X the performance per socket3 and up to 4X peak FLOPS per socket4 over the previous generation.  The new processors deliver a consistent, comprehensive set of I/O, memory and security features across 8-64 ‘Zen 2’ cores.
Samsung’s PCIe Gen 4-enabled PM1733 SSD will have double the throughput capabilities of current Gen 3 SSDs, giving it the highest performance of any SSD on the market today. It reads sequentially at 8.0GB/s and randomly at 1500K IOPS, while offering capacities up to 30.72TB for U.2 (Gen 4 x4) configurations and 15.36TB for the HHHL (Gen 4 x8) form factor. The drive is produced with Gen5 512Gb TLC V-NAND.
The PM1733 drive will be available industry-wide this quarter in both U.2 and HHHL variants, and will be backward compatible with PCIe Gen 3 architectures, providing exceptional flexibility for existing and future server applications.  The drive also features Dual Port capabilities to support storage as well as server applications.
In addition to Samsung’s PM1733 SSD, Samsung has provided its full lineup of RDIMM and LRDIMM DRAM products for AMD EPYC 7002 Series Processors. Using component architectures of 8Gb and 16Gb DDR4, Samsung is able to offer DIMM capacities ranging from 8GB to 256GB. With Samsung high density DIMMs, users can tap into as much as 4TB of memory per CPU.

1 Registered dual in-line memory module
2 Load-reduced dual in-line memory module
3 Projections as of July 3, 2019 for AMD EPYC processors using computer modeling of preproduction parts and SPECrate®2017_int_base internal testing results. Results may vary with production silicon testing. EPYC 7601 results as of June 2019 http://spec.org/cpu2017/results/res2019q2/cpu2017-20190411-11817.pdf. SPEC®, SPECrate® and SPEC CPU® are registered trademarks of the Standard Performance Evaluation Corporation. See www.spec.org for more information.
4 A “Zen2” based processor has a theoretical peak of ~4X Floating Point Per Socket (FLOPS) more than a “Zen1” based processor

пятница, 9 августа 2019 г.

Samsung представил флагманский мобильный процессор Exynos 9825










Незадолго до презентации флагманских смартфонов Galaxy Note10/Note10+ подразделение Samsung Seniconductor представило Exynos-9825 — первый в мире процессор, изготовленный по 7-нм техпроцессу с применением экстремальной ультрафиолетовой литографии (EUV). Новейшая мобильная платформа, установленная в Galaxy Note10/10+, отличается улучшенной производительностью и энергоэффективностью по сравнению с прошлогодней моделью.
Предыдущий Exynos 9820 был изготовлен по 8-нм технологии. Exynos 9825 использует 7-нм техпроцесс при той же конфигурации: 8-ядерный процессор с 2-мя ядрами Cortex-A75, 4-мя Cortex-A55 и 2-мя вспомогательными высокопроизводительными ядрами. Графический чип остался прежним — ARM Mali-G76 MP12.
Новинка поддерживает аппаратное декодирование видео с разрешением 8К при частоте 30 кадров в секунду, а также 4К при 150 кадрах в секунду (150 fps). У платформы имеется встроенный модем LTE Cat. 20, предусмотрена возможность подключения 5G-модема Exynos 5100. За работу искусственного интеллекта и функций нейронных сетей отвечает интегрированный модуль (NPU).
Максимальное разрешение дисплеев, с которым совместима платформа, составляет 4096x2160 или 3840х2400 пикселей. Реализована поддержка оперативной памяти LPDDR4Х и накопителей стандарта UFS 3.0/UFS 2.1. Более развёрнутая информация была представлена журналистам в ходе презентации Galaxy Note10 и Note10+ в Нью-Йорке.

The New Mobile Processor Samsung Exynos 9825

Power Evolved for Next-Gen Mobile

The Exynos-9825 brings evolved power to deliver next generation mobile experiences from gaming to intelligent photography and AI-powered assistants. Featuring the industry’s first 7nm EUV processing technology, the Exynos 9825 brings cutting-edge gaming and graphics performance in a power-efficient package so you never have to worry about battery life. Equipped with an advanced neural processing unit, it’s also delivering powerful AI experiences including personalized voice assistants and intelligent camera features, so you always get the perfect shot.

7nm EUV: Performance and efficiency reimagined

Power efficiency and performance come first with the Exynos 9825, the industry’s first mobile processor built with 7nm EUV processing technology. EUV, or extreme ultraviolet lithography, allows Samsung to leverage extreme ultraviolet wavelengths to print finer circuits and develop 
a faster and more power efficient processor.

AI: Designed for smarter experiences

The Exynos 9825 features an integrated Neural Processing Unit (NPU) designed for the next generation of mobile experiences from AI-powered photography to augmented reality. With fast, efficient AI processing, the NPU brings new possibilities for on-device AI from object recognition for optimized photos, to a suite of performance enhancing intelligence features such as usage pattern recognition and faster app pre-loading.

Computing: Performance that lasts

The Exynos 9825’s octa-core CPU packs a lot of horsepower into a small package. Featuring tri-cluster architecture that consists of two 4th generation custom CPUs – two Cortex®-A75 cores for optimal performance, and four Cortex-A55 cores for greater efficiency – the Exynos 9825 allows you to get more done no matter what you do. 
Thanks to the enhanced clock speed of the two Cortex-A75 cores and the 7nm EUV technology, the Exynos 9825 is both lightning fast and power efficient so you get optimized performance without sacrificing battery life.*
* Tested internally on the Exynos 9825 and the Exynos 9820 
** Screen image simulated. Device shown and features simulated on device are fictitious creations.

Gaming: Ahead of the game

Whether you’re raiding dungeons, battling zombies, or conquering puzzles, the Exynos 9825 is built for the future of mobile gaming. Equipped with the Mali™-G76 MP12 GPU that runs at faster clock speeds, compared to previous generations, the Exynos 9825 delivers powerful graphics performance for immersive gaming.* Combined with improved CPU performance that enables faster load times, game has never been smoother than with the Exynos 9825.*
* Tested internally on the Exynos 9825 and the Exynos 9820 
** Screen image simulated. Device shown and features simulated on device are fictitious creations.

5G and 4G LTE: Blazing fast mobile connectivity

Lightning fast mobile connectivity comes standard on the Exynos 9825. Featuring an integrated 4G LTE-Advanced Pro modem and 8x Carrier Aggregation, it offers up to 2Gbps download speeds and more reliable connectivity.* The Exynos 9825 is also ready for the 5G era when paired with the Exynos Modem 5100, which features support for 5G NR, giving you the next generation connectivity.
* Actual speed may differ by country and carrier.

Camera: Picture perfect performance

Advanced image processing and AI come together in the Exynos 9825 to deliver unparalleled image processing capabilities for mobile devices. The Exynos 9825’s NPU can detect and identify a wide range of objects and scenes which the image signal processor (ISP) retouches so you get the perfect white-balance, focus, exposure, saturation and colors every time. Thanks to support for a multi-camera set up, photographers will find everything they need right at their fingertips from telephoto, wide-angle, and ultra-wide lenses, to a 3D depth sensor that enables bokeh.
* Device shown and features simulated on device are fictitious creations.

Video: Built for cinematic experience

Enjoy the world in extraordinary detail. The Exynos 9825’s multi-format codec (MFC) supports up to 8K Ultra HD* video encoding and decoding with diverse codecs including 10-bit HEVC (H.265) that can generate 1,024 different tones for each primary color. With a display subsystem that supports up to 4K UHD display and HDR10+, you can watch the multimedia contents in stunning, true-to-life detail.
* May differ from specifications of devices.

Security: Vault-like security

The Exynos 9825 gives you peace of mind by using a physically unclonable function (PUF) to store and manage your personal data in perfect isolation. While PUF provides the unique key, secure key manager supports other security protocols, such as key generation, storage and derivation.

пятница, 2 августа 2019 г.

Samsung запускает в массовое производство первые в отрасли 12-гигабитные модули памяти LPDDR5 DRAM для флагманских смартфонов






Новая 12-гигабитная память Samsung LPDDR5 на базе последнего мобильного стандарта DRAM максимально расширяет потенциал применения технологий AI и 5G во флагманских смартфонах следующего поколения

Samsung Electronics, мировой лидер в области передовых технологий памяти, объявил о начале массового производства первой в отрасли 12-гигабитной мобильной DRAM-памяти LPDDR5, которая была оптимизирована для эффективной работы функций с применением AI (искусственного интеллекта) и 5G (ультраскоростная беспроводная связь нового поколения) на будущих смартфонах. Выпуск новых модулей начался всего через 5 месяцев после старта производства 12 Гбит LPDDR4X – модулей предыдущего поколения, что доказывает лидерство Samsung на рынке памяти класса премиум.
Учитывая растущий спрос на компоненты с более высокой эффективностью для смартфонов со стороны производителей премиальных мобильных устройств, в конце июля Samsung начал массовое производство 12-гигабитных планок памяти LPDDR5, каждая из которых объединяет 8 чипов.
«Запуская массовое производство модулей 12 Гбит LPDDR5 2-го поколения, выполненных по 10-нм техпроцессу, мы рады способствовать скорейшему появлению флагманских смартфонов с поддержкой 5G для наших клиентов во всём мире. Samsung по-прежнему стремится быстро внедрять технологии мобильной памяти нового поколения с более высокой ёмкостью и производительностью, продолжая активно стимулировать рост рынка памяти премиум-класса», – прокомментировал исполнительный директор и вице-президент Samsung Electronics по продуктам и технологиям DRAM Джун-Бай Ли (Jung-bae Lee).
Благодаря лучшим в отрасли скорости и энергоэффективности, новая мобильная DRAM от Samsung позволит флагманским аппаратам следующего поколения использовать весь потенциал функций AI и 5G, таких как запись видео сверхвысокого разрешения и машинное обучение, при этом значительно увеличив время автономной работы.
Обеспечивая скорость передачи данных 5500 Мбит/c, 12-гигабитная LPDDR5 работает примерно в 1,3 раза быстрее, чем мобильная память предыдущего поколения (LPDDR4X, 4266 Мбит/с), используемая в современных флагманских смартфонах. В 12-гигабитной планке модуль LPDDR5 способен всего за секунду передать 44Гб данных, что по объёму равно примерно 12-ти фильмам в формате Full HD размером 3,7Гб каждый. Новый чип также потребляет на 30% меньше энергии, чем его предшественник, благодаря интеграции новой конструкции схемы с улучшенными функциями тактирования, обучения и малым энергопотреблением, что обеспечивает стабильную производительность даже при работе смартфона на высокой скорости.
Для оптимизации производственных мощностей Samsung намерен перенести производство своих 12 Гбит LPDDR5 чипов на завод в Пхёнтхэке (Южная Корея), начиная со следующего года, в зависимости от спроса со стороны клиентов. Кроме того, в следующем году корпорация планирует укрепить лидерские позиции на мировом рынке, начав разработку 16-гигабитной LPDDR5 памяти.

Samsung Begins Mass Production of Industry’s First 12Gb LPDDR5 Mobile DRAM for Premium Smartphones

Based on the latest mobile DRAM standard, the new Samsung 12Gb LPDDR5 
maximizes the potential of 5G and AI features in future flagships

Samsung Electronics, the world leader in advanced memory technology, today announced that it has begun mass producing the industry’s first 12-gigabit (Gb) LPDDR5 mobile DRAM, which has been optimized for enabling 5G and AI features in future smartphones. The new mobile memory comes just five months after announcing mass production of the 12GB LPDDR4X, further reinforcing the company’s premium memory lineup. Samsung also plans to start mass producing 12-gigabyte (GB) LPDDR5 packages later this month, each combining eight of the 12Gb chips, in line with growing demand for higher smartphone performance and capacity from premium smartphone manufacturers.
“With mass production of the 12Gb LPDDR5 built on Samsung’s latest second-generation 10-nanometer (nm) class process, we are thrilled to be supporting the timely launch of 5G flagship smartphones for our customers worldwide,” said Jung-bae Lee, executive vice president of DRAM Product & Technology, Samsung Electronics. “Samsung remains committed to rapidly introducing next-generation mobile memory technologies that deliver greater performance and higher capacity, as we continue to aggressively drive growth of the premium memory market.”
Thanks to its industry-leading speed and power efficiency, Samsung’s new mobile DRAM can enable next-generation flagship smartphones to fully leverage 5G and AI capabilities like ultra-high-definition video recording and machine learning, while greatly extending the battery life.
At a data rate of 5,500 megabits per second (Mb/s), the 12Gb LPDDR5 is approximately 1.3 times faster than previous mobile memory (LPDDR4X, 4266Mb/s) that is found in today’s high-end smartphones. When made into a 12GB package, the LPDDR5 is able to transfer 44GB of data, or about 12 full-HD (3.7GB-sized) movies, in only a second. The new chip also uses up to 30 percent less power than its predecessor by integrating a new circuit design with enhanced clocking, training and low-power feature that ensures stable performance even when operating at a blazingly fast speed.
In order to manage production capacity with more flexibility, Samsung is considering transferring its 12Gb LPDDR5 production to its Pyeongtaek (Korea) campus starting next year, depending on demand from global customers. Following its introduction of the 12Gb LPDDR5 mobile DRAM, Samsung expects to also develop a 16Gb LPDDR5 next year, to solidify its competitive edge in the global memory market.

среда, 1 мая 2019 г.

Президент Южной Кореи ставит своей целью превратить страну в "полупроводниковую сверхдержаву". Особую роль в этой миссии должен играть Samsung




Президент Южной Кореи Мун Джэй-ин во время своего визита 30 апреля на завод Samsung Electronics в Хвасоне (провинция Кёнгидо), сообщил о решении взять курс на дальнейшее развитие полупроводниковой промышленности как двигателя будущего экономического роста страны. Также глава государства выделил такие стратегические направления как биофармацевтика и самоуправляемые электромобили следующего поколения, сообщает информационное агентство Yonhap.
Фактический глава Samsung, вице-председатель Ли Джэй-ён (он же единственный наследник семейного бизнеса династии Ли, основавшей финансово-промышленную империю Samsung Group), в ответном слове пообещал инвестировать беспрецедентную сумму в размере 133 триллионов вон до 2030 года на развитие наиболее сложных и высокодоходных секторов полупроводниковой отрасли.
Со своей стороны, Мун Джей-ин пообещал поддержку со стороны государственных структур и научных институтов, которые финансируются правительством, в деле поиска лучших научных кадров. Кроме того, глава страны дал понять, что законодатели и административные структуры постараются учесть пожелания экономических лидеров, облегчив им работу путём принятия законодательных актов, стимулирующих ведение бизнеса. В частности, в Samsung могут рассчитывать на крупные госзаказы, связанные с использованием микрочипов во многих отраслях, включая промышленность, транспорт, системы безопасности и т.д.
В связи с этим в Южной Корее с новой силой вспыхнули дебаты о "режиме наибольшего благоприятствования для чеболей" (крупных семейных бизнесов) якобы в ущерб интересам малого и среднего бизнеса. К тому же некоторые СМИ начали обвинять Муна "в потворстве Samsung" незадолго до вынесения Верховным судом Южной Кореи окончательного приговора по так называемому "коррупционному делу" прежней президентской администрации Пак Кын-хи, стоившему Ли Джей-ёну годичного заключения фактически ни за что. Как говорится, на фоне тогдашнего грандиозного скандала в высших политических кругах Ли-младший просто попал "под горячую руку" прокуратуры, которая всё ещё требует повторного заключения топ-менеджера под стражу.
В Южной Корее часть населения всё ещё считает, что "чеболи должны быть разрушены", то есть разделены на более мелкие независимые предприятия "с прозрачной бухгалтерией".   
Тем не менее, эксперты считают, что реорганизация и, соответственно, ослабление традиционных чеболей пагубно скажется на состоянии экономики, которая и без того переживает непростые времена. Похоже, что в левой администрации Мун Джэй-ина это, наконец, осознали и несколько ослабили давление на тот же Samsung и другие крупные конгломераты.

President Moon pledges gov't support for non-memory chip businesses

President Moon Jae-in on Tuesday emphasized the great growth potential of the non-memory chip sector, reaffirming the government's plan to offer every possible support for South Korea's businesses involved.
He laid out a strategic vision to boost the "system" semiconductor industry, making his first visit to the local facilities of Samsung Electronics Co. since taking office in May 2017.
The visit to Samsung's Nano City campus in Hwaseong, Gyeonggi Province, was meant to demonstrate the liberal administration's resolve to promote it as the country's future economic growth engine, along with bio-health and next-generation automobiles.
"If (our) challenge in the system semiconductor field succeeds, (South Korea) will position itself as a true, comprehensive semiconductor powerhouse," Moon said in a ceremony held at Samsung's advance base for non-memory chip businesses.
He recalled South Korea's success in memory chip development, saying it can capitalize on the experience and technology.
"Our goal is clear," Moon stressed. "It's to leap to the status of a comprehensive semiconductor power by becoming No. 1 in the system semiconductor foundry sector and attaining 10 percent of the market share in the fabless one by 2030, while maintaining the top spot in the memory chip field."
His remarks came hours after Samsung, the world's largest memory chip and smartphone maker, reported a 56.9 percent drop in its net profit to 5.04 trillion won (US$4.3 billion) in the first quarter from a year earlier amid falling DRAM prices and weak demand for display panels.
Nearly 90 percent of Samsung's revenue reportedly comes from the memory business, and observers say it is likely to lose its semiconductor crown to Intel sometime this year.
Moon pointed out that the system semiconductor market is 1.5 times bigger than that of the memory chip market.
"Its growth potential is infinite," he said. "If it's utilized in the entire industrial field, such as robots, bio and cars, (the market) will grow to the size of 300 trillion won in 2022."
Still, the global market share of South Korean companies stays at around 3 percent.
Last week, Samsung announced plans to invest 133 trillion won by 2030 to bulk up its non-memory business.
The president hailed Samsung's initiative and said the government will "actively help" it by expanding budget spending for R&D in the semiconductor sector and stepping up efforts to nurture manpower.
He made it clear that the public sector will play a leading role in fostering domestic demand by introducing large-scale energy, safety and transportation projects, in which non-memory chips will be used.
"(The government) will create a system semiconductor market using 26 million chips in the public sector by 2030," he said, adding that it's estimated to be worth at least 240 billion won in the energy field alone.
Moon was accompanied by the finance, industry and science ministers on the tour. Samsung Electronics Vice Chairman Lee Jae-yong also attended the event, which took place at the Device Solutions Research (DSR) center in the compound located just south of Seoul.

вторник, 9 апреля 2019 г.

Samsung готовится к переходу на новую технологию производства DRAM-памяти



В настоящее время Samsung Electronics использует 18-нанометровый технологический процесс для производства чипов оперативной памяти (DRAM). Переход на 16-нанометровые нормы ожидается в 2020 году, говорят аналитики Digitimes Research.
По их оценкам, на Samsung приходится больше половины глобальных поставок серверной DRAM-памяти в натуральном выражении.
Южнокорейский техногигант приступил к серийным поставкам 8-гигабайтных микросхем DRAM с использованием 18-нм техпроцесса в середине 2018 года. В следующем году Samsung должен начать выпуск 16-гигабитных решений на основе 16-нм технологии.
По мнению аналитиков Digitimes Research, сегменты оперативной памяти для серверов и оборудования центров обработки данных заменят ПК и мобильные устройства в качестве крупнейшего целевого рынка для производителей DRAM-памяти к 2023 году.

Samsung to scale up 16nm DRAM output in 2020

Samsung Electronics uses mainly 18nm process technology to manufacture server DRAM chips, and is gearing up for transition to a newer 16nm process node in 2020, according to Digitimes Research.
Samsung has held an over 50% share of the global server DRAM market in terms of unit shipments. The company has started volume producing 8Gb server DRAM chips using 18nm process technology since mid 2018, and will scale up substantially 16nm-made 16Gb chip output in 2020, said Digitimes Research.
Server and data center applications are set to replace PCs and mobile devices as the largest target market of DRAM chipmakers by 2023, Digitimes Research believes.

суббота, 23 марта 2019 г.

Эксперты сомневаются, что Samsung нуждается в покупупке активов GlobalFoundries


СМИ продолжают гадать, кто может стать обладателем активов выставленной на продажу компании GlobalFoundries, занимающейся контрактным производством полупроводниковой продукции.
Напомним, что американская GlobalFoundries, владельцем которой в последние годы был Мохамед бен Заид бин Султан ан-Нахайян, наследный принц Абу-Даби (ОАЭ), сейчас продаётся по частям, поскольку желающих выкупить компанию полностью не нашлось. 
Ради заключения выгодной сделки Султан ан-Нахайян даже вылетал в Сеул в конце февраля, чтобы договорится с руководством крупнейших мировых производителей полупроводников Samsung и SK hynix. Однако его встречи с вице-председателем Samsung Ли Джей-ёном и главной SK hyinix Чи Тэ-воном, судя по всему, не увенчались успехом, сообщает Business Korea.
Наследному принцу принадлежит более 90% GlobalFoundries, поэтому он фактически единолично распоряжается судьбой своего бизнеса.
Не достигнув результатов на переговорах с южнокорейцами, Султан ан-Нахайян решил распродать GlobalFoundries по частям.
Ранее тайваньский техноресурс Digitimes выдвинул предположение, что потенциальными покупателями наиболее интересных активов могут стать Vanguard International Semiconductor (VIS) и Samsung Electronics, стремящиеся расширить своё влияние в этой отрасли. Однако специалисты сомневаются, что производственные комплексы GlobalFoundries могут заинтересовать южнокорейского техногиганта, поскольку Samsung заметно опережает конкурентов и ориентируется на самые передовые высокомаржинальные решения. 
Предлагаемая продажа GlobalFoundries породила скептицизм среди экспертов в области полупроводников в отношении выработавшей свой ресурс технологии FD-SOI, которая считалась конкурентоспособной для GlobalFoundries. Некоторые полупроводниковые инженеры отмечают, что FD-SOI может иметь некоторые проблемы и вряд ли рассматривается ведущими игроками как перспективная.
Представитель Samsung Electronics заявил, что корпорация не собирается комментировать слухи о слияниях и поглощениях.

Samsung Electronics May Benefit from GlobalFoundries' Fab Sales

GlobalFoundries, the world's third-largest semiconductor foundry, is looking for a buyer for its 300 mm fab (Fab 7) in Woodland, Singapore, Taiwan's Digitimes has reported. The report came in less than two months after the U.S. foundry signed a contract to sell its Fab 3E in Singapore to Vanguard International Semiconductor (VIS) in Taiwan.
Market watchers’ focus is on Samsung Electronics. Digitimes named Samsung Electronics as a potential buyer, together with a Chinese semiconductor company. Samsung Electronics has been mentioned every time news of semiconductor-related M&As is broken because it is sitting on a 100 trillion won cash mountain and has been seeking to shore up its non-memory business.
However, Samsung Electronics has no reason to acquire the fab from GlobalFoundries as it is ahead of others in semiconductor technology, industry watchers say. If Samsung decides to take over the fab, its goal is to secure a customer base rather than increase its production capacity, they say. "GlobalFoundry’s Singapore fab is not a very attractive target," said an analyst. “This is because the fab does not have big corporate customers like U.S. fabs.”
On the contrary, if the third-ranked foundry is dismantled into separate fab units, it would benefit second-ranked Samsung Electronics as the Korean tech giant can widen its gap with followers. Market researcher IBS said that in 2018, Samsung Electronics' foundry share stood at 14.9 percent, larger than 8.4 percent of GlobalFoundries.
Analysts say that the UAE, which own GlobalFoundries, have decided to sell off its fabs in separate units after finding that neither Samsung Electronics nor SK Hynix are interested in acquiring the whole of the foundry. Mohamed bin Zayed bin Sultan Al-Nahyan, crown prince of Abu Dhabi, met with Lee Jae-yong, vice chairman of Samsung Electronics, and Chey Tae-won, SK Group chairman, during his visit to Korea on Feb. 26-27 to sound out about the sale of GlobalFoundries, but no deal was made. Since then, analysts say, the crown prince switched to selling the foundary after dividing it into pieces. The crown prince owns more than 90 percent of GlobalFoundries.
The proposed sale of GlobalFoundries has spawned skepticism among semiconductor experts about the fully depleted sillicon on insulator (FD-SOI) technology, which has been considered to be GlobalFoundries' competitiveness. Some semiconductor engineers point out that unlike what is known, FD-SOI technology could have some problems.
A Samsung Electronics official said that the company would not respond to any M&A rumors.

пятница, 22 марта 2019 г.

Samsung сообщает о завершении разработки 8-Гб чипов DDR4 3-го поколения 10-нм класса




В официальном пресс-релизе Samsung Electronics сообщает об очередном этапе развития техпроцесса 10 нм класса. Всего через 16 месяцев после начала массового производства памяти DDR4 с использованием техпроцесса 2-го поколения 10-нм класса (1y-nm) лидер полупроводниковой индустрии завершил разработку кристаллов памяти DDR4 с использованием 3-го поколения техпроцесса класса 10 нм (1z-nm). Отмечается, что техпроцесс 3-го поколения 10-нм класса по-прежнему использует 193-нм литографические сканеры и не опирается на пока ещё малопроизводительные сканеры диапазона EUV. Это означает, что переход к массовому выпуску памяти с использованием новейшего техпроцесса 1z-nm будет сравнительно быстрым и без значительных финансовых затрат на переоснащение линий.
К массовому производству 8-Гб чипов DDR4 с использованием техпроцесса 1z-nm класса 10 нм корпорация приступит во 2-й половине текущего года. Samsung не раскрывает точных спецификаций техпроцесса, чтобы не давать повода конкурентам перехватить инициативу. Предполагается, что техпроцессу 1х-nm 10-нм класса от Samsung соответствуют нормы 18 нм, техпроцессу 1y-nm ― 17- или 16-нм нормы, а новейшему 1z-nm ― 16- или 15-нм нормы, а может быть даже до 13 нм. В любом случае снижение масштаба техпроцесса вновь увеличило выход кристаллов с одной пластины, по заявлению вендора, ― на 20 %. Это позволит Samsung продавать новую память дешевле или с лучшей маржинальностью, пока конкуренты не добьются в производстве похожего результата.

Samsung Develops Industry’s First 3rd-generation 10nm-Class DRAM for Premium Memory Applications

New 8Gb DDR4 based on most advanced 1z-nm process enables DRAM solutions with ultra-high performance and power efficiency 

The 1z-nm 8Gb DDR4 to be in mass production in the second half of this year

Samsung Electronics, the world leader in advanced memory technology, today announced that it has developed a 3rd-generation 10-nanometer-class (1z-nm) eight-gigabit (Gb) Double Data Rate 4 (DDR4) DRAM for the first time in the industry. In just 16 months since it began mass producing the 2nd-generation 10nm-class (1y-nm) 8Gb DDR4, development of 1z-nm 8Gb DDR4 without the use of Extreme Ultra-Violet (EUV) processing has pushed the limits of DRAM scaling even further.
As 1z-nm becomes the industry’s smallest memory process node, Samsung is now primed to respond to increasing market demands with its new DDR4 DRAM that has more than 20-percent higher manufacturing productivity compared to the previous 1y-nm version.
Mass production of the 1z-nm 8Gb DDR4 will begin within the second half of this year to accommodate next-generation enterprise servers and high-end PCs expected to be launched in 2020.
“Our commitment to break through the biggest challenges in technology has always driven us toward greater innovation. We are pleased to have laid the groundwork again for stable production of next-generation DRAM that ensures the highest performance and energy efficiency,” said Jung-bae Lee, executive vice president of DRAM product & technology, Samsung Electronics. “As we build out our 1z-nm DRAM lineup, Samsung is aiming to support its global customers in their deployment of cutting-edge systems and enabling proliferation of the premium memory market.”
Samsung’s development of the 1z-nm DRAM paves the way for an accelerated global IT transition to next-generation DRAM interfaces such as DDR5, LPDDR5 and GDDR6 that will power a wave of future digital innovation. Subsequent 1z-nm products with higher capacities and performance will allow Samsung to strengthen its business competitiveness and solidify its leadership in the premium DRAM market for applications that include servers, graphics and mobile devices.
Following a full validation with a CPU manufacturer for eight-gigabyte (GB) DDR4 modules, Samsung will be actively collaborating with global customers to deliver an array of upcoming memory solutions.
In line with current industry needs, Samsung plans to increase the portion of its main memory production at its Pyeongtaek site, while working with its global IT clients to meet the rising demand for state-of-the-art DRAM products.

четверг, 21 марта 2019 г.

Samsung Electronics представляет микросхемы DRAM памяти самой высокой ёмкости




Samsung Electronics, мировой лидер в области передовых технологий памяти, объявил о начале массового производства микросхем DRAM самой высокой ёмкости, предназначенных для мобильных устройств. Новая разработка - это первый в отрасли 12-Гб модуль с пониженным энергопотреблением, выполненный в корпусе LPDDR4X (low-power double data rate 4X), оптимизированном для будущих смартфонов премиум-класса. Обладая большей ёмкостью, чем память в большинстве ультратонких ноутбуков, новые микросхемы мобильной DRAM позволят в полной мере реализовать все возможности смартфонов следующего поколения.
«С началом массового производства микросхем LPDDR4X Samsung сформировал комплексную линейку современной памяти для новой эры смартфонов: начиная с мобильной DRAM памяти ёмкостью 12Гб и заканчивая 512Гб накопителями eUFS 3.0», – говорит Сэон Чэн (Sewon Chun), исполнительный вице-президент по маркетингу технологий памяти в Samsung Electronics. – «Более того, с выпуском LPDDR4X мы укрепляем наши позиции в качестве производителя мобильной памяти премиум-класса, которая обладает всеми возможностями для удовлетворения быстрорастущего спроса со стороны мировых производителей смартфонов».
Благодаря 12-Гб мобильной DRAM-памяти производители смартфонов смогут максимально расширить потенциал своих устройств, которые всё чаще оснащаются большим числом камер, увеличенным экраном, поддерживают работу с технологиями искусственного интеллекта и сетями 5G. Что касается пользователей смартфонов, то новый вид памяти позволит быстрее переключаться между многочисленными приложениями на сверхбольших экранах с высоким разрешением. Поскольку память выполнена в корпусе высотой всего 1,1 мм, новые смартфоны могут стать ещё тоньше и изящнее.
Модули ёмкостью 12Гб были получены благодаря объединению 6-ти 16-гигабитных чипов LPDDR4X 2-го поколения, выполненных по техпроцессу 10-нм класса (1y-nm), в едином корпусе, что увеличивает пространство для аккумулятора смартфона. Кроме того, фирменная технология 1y-nm обеспечивает скорость передачи данных на уровне 34,1ГБ/с, при этом сокращая рост энергопотребления, обусловленного увеличением ёмкости DRAM.
С момента выпуска мобильной DRAM-памяти ёмкостью 1Гб в 2011 году Samsung увеличивает ёмкость запоминающих устройств, предлагая модули ёмкостью 6Гб (в 2015 году) и 8Гб (в 2016 году). Теперь доступен и первый в отрасли модуль LPDDR4X ёмкостью 12Гб. Samsung намерен нарастить мощности своей ультрасовременной производственной линии в корейском Пхёнгтэке (Pyeongtaek) и планирует в течение 2-й половины 2019 года более чем втрое увеличить поставки модулей мобильной DRAM-памяти ёмкостью 8Гб и 12Гб, выполненной по техпроцессу 1y-nm.

Samsung Launches Highest-capacity Mobile DRAM to Accommodate Next-generation Smartphones

New 12GB LPDDR4X joins 512GB eUFS to enable a seamless user experience in smartphones with multi-cameras, 2X screen sizes, and AI and 5G features

Samsung Electronics, the world leader in advanced memory technology, today announced that it has begun mass producing the highest-capacity mobile DRAM – the industry’s first 12-gigabyte (GB) low-power double data rate 4X (LPDDR4X) package – optimized for tomorrow’s premium smartphones. Featuring higher capacity than most ultra-thin notebooks, the new mobile DRAM will enable smartphone users to take full advantage of all the features in next-generation smartphones.
“With mass production of the new LPDDR4X, Samsung is now providing a comprehensive lineup of advanced memory to power the new era of smartphones, from 12GB mobile DRAM to 512GB eUFS 3.0 storage,” said Sewon Chun, executive vice president of Memory Marketing at Samsung Electronics. “Moreover, with the LPDDR4X, we’re strengthening our position as the premium mobile memory maker best positioned to accommodate rapidly growing demand from global smartphone manufacturers.”
Thanks to the 12GB mobile DRAM, smartphone makers can maximize the potential of devices that feature more than five cameras and ever-increasing display sizes as well as artificial intelligence and 5G capabilities. For smartphone users, the 12GB DRAM enables more fluid multitasking and faster searches as they navigate through a myriad of apps on ultra-large high-resolution screens. Also, the 1.1-millimeter thickness allows for even sleeker smartphone designs.
The 12GB capacity was achieved by combining six 16-gigabit (Gb) LPDDR4X chips based on the second-generation 10nm-class (1y-nm) process into a single package, providing more space for the smartphone battery. In addition, by using the company’s 1y-nm technology, the new 12GB mobile memory delivers a data transfer rate of 34.1GB per second while minimizing the increase in power consumption inevitably caused by a boost in DRAM capacity.
Since introducing 1GB mobile DRAM in 2011, Samsung continues to drive capacity breakthroughs in the mobile DRAM market, moving from 6GB (in 2015) and 8GB (2016) to today’s first 12GB LPDDR4X. From its cutting-edge memory line in Pyeongtaek, Korea, Samsung plans to more than triple the supply of its 1y-nm-based 8GB and 12GB mobile DRAM during the second half of 2019 to meet the anticipated high demand.